トップページ > ノートPC > 2021年01月16日 > S5apTbvy

書き込み順位&時間帯一覧

45 位/421 ID中時間01234567891011121314151617181920212223Total
書き込み数2000000000000000000000002



使用した名前一覧書き込んだスレッド一覧
[Fn]+[名無しさん]
【Dali】AMDのノート用APU/CPU Part63【Renoir】

書き込みレス一覧

【Dali】AMDのノート用APU/CPU Part63【Renoir】
283 :[Fn]+[名無しさん][]:2021/01/16(土) 00:04:05.79 ID:S5apTbvy
無視できない日本のEUVの強さ

EUV関連機器市場では、オランダのASMLがコアリソグラフィーマシンを独占していますが、「極紫外線リソグラフィー露光」周辺機器では、特に検出、感光性材料コーティング、イメージングにおいて、日本の機器メーカーの存在感が徐々に向上しています。
関連機器に関しては、日本の強みは無視できません。

半導体ロジックプロセス技術が7ナノメートル未満に入ると、線幅が細すぎるため、露光媒体としてEUV装置が必要になります。
将来的には、高度な半導体ロジックチップ製造プロセスが3ナノメートル、2ナノメートル、さらには1ナノメートルプロセスにまで進歩します。
EUV機器は再びアップグレードされます。
さらに、ロジックウェーハプロセスにはEUV機器だけでなく、将来のDRAMの大量生産のためのEUV機器も必要です。

したがって、TSMC、Samsung、IntelなどのファブがEUVをめぐって競合していることに加えて、MicronやSKHynixなどのフォローアップにも大量のEUV機器が必要です。
5Gの普及の「風下」に乗って、半導体の小型化への需要が徐々に高まり、半導体メーカーがEUVの導入を加速させ、EUV機器が注目を集めています。

2020年末現在、各メーカーが保有するEUV機器の数は以下のとおり、TSMCは61台、SamsungElectronicsは9台と推測できます。

TSMCは毎年約20〜30台のEUVデバイスを導入し、2025年末までに約185台(またはそれ以上)のEUVデバイスを導入する予定です(注)。
一方、SamsungElectronicsは2025年末までに約100台のEUVデバイスを保有することを目指しています。
ASMLの生産能力の観点から、機器は非常に困難です。

日本のEUV機器の強み

上記のすべては、EUVコア装置であるASMLのEUV露光装置(つまり、私たちがよく呼ぶEUVリソグラフィーマシン)です。
ただし、EUV関連機器には、フォトマスク欠陥検出機器やコーティング・開発機器(EUV周辺機器とも呼ばれる)も含まれており、これら2つのEUV機器分野において、日本のメーカーは過小評価できない市場シェアを持っています。

まずは不良検出装置を見てみましょう。
元の回路基板としてフォトマスクに不良があると、それに応じて半導体の不良率が高くなります。
近年、特にEUVマスク(フォトマスク、半導体回路用マスク)検査装置の需要が伸びており、この分野では、日本のレーザーテック株式会社が世界で唯一の試験装置メーカーであり、レーザーテックは世界をリードしています。
100%の市場シェア。

2017年、レーザーテックは、ブランクのEUVマスクの内部欠陥を検査できるマシンを作成したときに、EUV問題の重要な部分を解決しました。
2019年9月に、すでにチップデザインで印刷されたテンプレートに対して同じ処理を実行できる別のデバイスを発売し、別のマイルストーンを作成しました。

Yahoo Newsによると、2020年7月から9月までのレーザーテックの半導体関連機器の注文は、前年同期の2.6倍でした。
市場のニーズを満たすために、いくつかの鋳造会社が追加されました。
EUVフォトマスクを検査する従来の方法は、主に深紫外線(DUV)を光源に適用することです。
EUVはDUVよりも波長が短く、製品の欠陥検出感度が高くなります。
DUVライトは最先端の5nmプロセスにも適用できますが、レーザーテックの事業計画室長の三沢祐太朗所長は次のように指摘しています。
「小型化の進展に伴い、2nmプロセスに入るとDUVの感度程度が足りないかも」!つまり、EUV光源を用いた検出装置の需要はさらに高まることが見込まれます。

レーザーテックの岡林理社長は以前、「ロジックチップメーカーは最初にEUV技術を採用し、次にメモリチップメーカーを採用しますが、実際の注文量は大量生産段階に達したときに来る」と指摘しました。
岡林氏は、各顧客が必要とする可能性があると予想しています。
いくつかの試験装置は、それぞれ43億円(4000万米ドル)以上かかる可能性があり、構築には最大2年かかります。
チップメーカーは、ステンシルが正しく印刷されるように、マスクショップに少なくとも1台のマシンが必要です。
また、ファブには、チップ設計テンプレートに繰り返し投影される集中光によって引き起こされる微視的な摩耗を観察するためのテスト機器が必要です。

日本におけるもう1つの100%の市場シェアは、開発用の半導体材料としてシリコンウェーハに特殊な化学液体を塗布するために使用される東京エレクトロニクスのEUVコーティングおよび開発装置です。TEPCOは1993年にFPD生産設備コーター/開発者の販売を開始し、2000年には1,000人のコーター/開発者「CLEANTRACKACT8」を納入しました。
【Dali】AMDのノート用APU/CPU Part63【Renoir】
284 :[Fn]+[名無しさん][]:2021/01/16(土) 00:04:22.98 ID:S5apTbvy
東京エレクトロンの河合 利樹社長は、EUVの導入がプロセス全体の技術進歩を促進できれば、EU・に直接関係のないプロセスも増えると指摘した。
さらに、さまざまなデバイスのパフォーマンスも向上します。
また、フィルム形成やエッチング装置にも一定の影響を与えます。
東京エレクトロンは、2021年3月までに、リソグラフィ装置市場のニーズを満たすために、研究開発に少なくとも12億5,000万米ドルを投資する予定であると報告されています。

日本の他のEUVの強み

EUV機器に加えて、日本はEUVフォトフォトとEUVレーザー光源でも最高です。

フォトフォトの分野では、日本は世界をリードするメーカーであり、特にEUVフォトリストでは、市場シェアは90%以上にも達しますが、減速することはないようです。

日経新聞の最近の報告によると、フジフィルムホールディングスと住友化学は早くも2021年に次世代チップ製造用の材料を提供し、スマートフォンやその他のデバイスがより小さく、よりエネルギー効率の高いトレンドに移行するのに役立ちます。
開発。
フジフィルムは、東京南西部の静岡県にある生産工場に45億円(4,260万米ドル)を投資しており、2021年には量産を開始する予定です。
同社によれば、この製品を使用すると、残留物が少なくなり、それによって不良チップが減少します。

同時に、住友化学は、2022年度までに大阪工場の開発から生産まで、あらゆるフォトフォトの生産能力を提供していきます。

光源の信頼性もリソグラフィーマシンの重要な部分です。
日本のギガフォトンは、世界中のリソグラフィーマシンにレーザー光源を提供できる2つのメーカーの1つです(もう1つは、2012年にASMLに買収されたCymerです)。
ギガフォトンは、EUVが登場する前に、リソグラフィーマシンの光源の分野でトップ2になっていたため、カムバックを楽しみにしています。
しかし、ASMLによる競合他社の買収などの理由により、現在は地位を失っています。
ASMLがEUV次世代機器を発売する前、ギガフォトンは市場シェアを取り戻すために高出力光源コンポーネントの開発に懸命に取り組みました。

ギガフォトンは2000年に設立された比較的若い会社です。
ギガフォトンは、ArFリソグラフィー技術の時代を超えた次世代リソグラフィー技術の1つとして、極紫外線(EUV)リソグラフィー技術を積極的に開発してきました。
ギガフォトンは、パルスレーザーをSnターゲットに照射することにより高温プラズマからEUV光を生成するレーザー生成プラズマ(LPP)法を使用してEUV光源を開発しました。
現在、同社は大量生産の光源を開発し、着実に進歩している。

また、電子ビームマスクリソグラフィ装置市場では、東芝グループの子会社であるニューフレアテクノロジーが、東京電子顕微鏡メーカーのJEOLとオーストリアのIMS Nanofabricationとの提携を緊密にフォローし、
26万本のレーザービームを放射できる「マルチビーム」装置の開発に注力しています。

東芝は、世界最大のフォトマスクメーカーである保谷に買収されることを防ぐため、今年1月からニューフレアテクノロジーの管理を強化し、2020年度の次世代EUVの実現を目指して、エンジニア等25名を追加した。
該当する機器が出荷されます。

最後に書く

リソグラフィー装置の分野では、ニコンとキヤノンはかつて世界市場を席巻しましたが、ASMLとの競争に失敗し、EUV開発に遅れをとっていました。
以上のことから、EUV周辺機器・材料の分野では、日本は依然としていくつかの大手企業に定着していることがわかります。
しかし、将来的には、製品や機器の技術の複雑化と関連コストの増加に伴い、EUVリソグラフィー技術への移行は必然的に市場参加者の数を減らすでしょう。
しかし、EUVに関しては、いくつかの懸念要因もあります。
最先端のEUV露光装置の価格は1億2000万元(またはそれ以上)と高く、周辺機器の価格も非常に高価です。
半導体の小型化が進むにつれ、半導体の原価は以前の完成品の価格を上回る可能性が高いと予想されます。
日立ハイテクの石渡事務局長は、「小型化技術の限界が来る前に、経済的価値の限界が先に来るべきだ!」と語った。

今日の半導体業界では、複数の半導体チップを縦に積み重ねる「三次元」方式、つまり小型化せずに性能を向上させるなど、半導体の性能を向上させようとしています。
世界の半導体機器メーカーが前向きで、EUVの小型化がいつまで続くかを予測できることを願っています。
https://mp.weixin.qq.com/s/DCDjD7AYBtDw896jGmkROA


※このページは、『2ちゃんねる』の書き込みを基に自動生成したものです。オリジナルはリンク先の2ちゃんねるの書き込みです。
※このサイトでオリジナルの書き込みについては対応できません。
※何か問題のある場合はメールをしてください。対応します。